Nehmen Sie an unseren 155000 IMP Followern teil

elektronik-news.com
Intel News

INTEL BESCHLEUNIGT PROZESS- UND PACKAGING-INNOVATIONEN

Intel stellte gestern eine der detailliertesten Prozess- und Packaging-Roadmaps vor, die das Unternehmen je veröffentlicht hat. Sie zeigt eine Reihe wegweisender Neuheiten, die die Produktentwicklung bis 2025 und darüber hinaus antreiben werden. Dazu zählt die Ankündigung von RibbonFET, Intels erster neuer Transistorarchitektur seit mehr als einem Jahrzehnt, und PowerVia, der branchenweit ersten Methode zur rückseitigen Stromversorgung. Darüber hinaus hebt das Unternehmen die geplante zügige Einführung der nächsten Generation der Extrem Ultravioletten Lithographie (EUV) hervor, die als High Numerical Aperture (High NA) EUV bezeichnet wird. Intel ist in Position, um das erste High NA EUV-Produktionstool in der Branche zu erhalten.

INTEL BESCHLEUNIGT PROZESS- UND PACKAGING-INNOVATIONEN

“Aufbauend auf Intels Führungsrolle im Advanced Packaging beschleunigen wir unsere Innovations-Roadmap, um sicherzustellen, dass wir bis 2025 auf einem guten Kurs für die Leistungsführerschaft im Bereich Prozesse sind”, sagte Intel CEO Pat Gelsinger während des “Intel Accelerated“-Webcasts. “Wir nutzen unsere unvergleichliche Innovationspipeline, um technologische Fortschritte vom Transistor bis zur Systemebene zu liefern. Bis das Periodensystem ausgeschöpft ist, werden wir das Mooresche Gesetz weiterverfolgen und Innovationen mit der Magie des Siliziums umsetzen.”

More: Process & Packaging Innovations (Press Kit) “Intel Accelerated” Webcast (Event Livestream/Replay) Accelerating Process Innovation (Fact Sheet) Accelerating Process Innovation (Quotes) Intel Introduces New RibbonFET and PowerVia Technologies (Video) Intel EMIB Technology Explained (Video) Intel Foveros Technology Explained (Video)

Die Industrie hat bereits seit längerem erkannt, dass die traditionelle Nanometer-basierte Prozess-Node-Bezeichnung seit 1997 nicht mehr mit der tatsächlichen Gate-Längen-Metrik übereinstimmt. Nun hat Intel eine neue Namensstruktur für seine Prozess-Nodes eingeführt und damit ein klares und konsistentes Rahmenwerk geschaffen, das Kunden einen genaueren Überblick über die Nodes in der Branche gibt. Mit dem Start der Intel Foundry Services (IFS) ist diese Klarheit wichtiger denn je. “Die heute vorgestellten Neuerungen werden nicht nur Intels Produkt-Roadmap ermöglichen, sondern auch für unsere Foundry-Kunden von entscheidender Bedeutung sein”, so Gelsinger. “Das Interesse an IFS ist groß und ich bin begeistert, dass wir heute unsere ersten beiden Großkunden bekannt geben konnten. IFS ist auf dem Vormarsch!”

Folgende Roadmap mit neuen Node-Bezeichnungen und Innovationen, die jedes Node ermöglicht, stellte Intel vor:
  • Intel 7 liefert eine Leistungssteigerung von ca. 10 bis 15 Prozent pro Watt gegenüber Intel 10nm SuperFin – basierend auf FinFET-Transistor-Optimierungen. Für Endkunden wird Intel 7 in Produkten wie Alder Lake im Jahr 2021 zum Einsatz kommen, für das Rechenzentrum in der Sapphire Rapids-Generation, die voraussichtlich im ersten Quartal 2022 in Produktion gehen wird.
  • Intel 4 nutzt die EUV-Lithografie, um mit ultrakurzwelligem Licht äußerst kleine Strukturen zu drucken. Mit einer Leistungssteigerung von ca. 20 Prozent pro Watt und Verbesserungen in puncto Fläche wird Intel 4 in der zweiten Jahreshälfte 2022 bereit für die Produktion und 2023 für die Auslieferung von Produkten sein – darunter Meteor Lake für Endkunden und Granite Rapids für das Rechenzentrum.
  • Intel 3 profitiert von weiteren Optimierungen der FinFET-Technologie und erhöhtem EUV, um eine Leistungssteigerung von etwa 18 Prozent pro Watt gegenüber Intel 4 sowie zusätzliche Verbesserungen der Fläche zu bieten. Intel 3 wird in der zweiten Jahreshälfte 2023 bereit für die Produktion sein.
  • Intel 20A leitet mit zwei bahnbrechenden Technologien – RibbonFET und PowerVia – die Angström-Ära ein. RibbonFET, Intels Implementierung eines Gate-All-Around-Transistors, wird die erste neue Transistorarchitektur des Unternehmens sein, seit es 2011 mit FinFET Pionierarbeit leistete. Die Technologie liefert schnellere Transistor-Schaltgeschwindigkeiten und erreicht dabei den gleichen Treiberstrom wie mehrere Finnen auf kleinerer Grundfläche. PowerVia ist Intels einzigartige, branchenweit erste Implementierung einer rückseitigen Stromversorgung. Sie optimiert die Signalübertragung, indem sie das Power-Routing auf der Vorderseite des Wafers überflüssig macht. Intel 20A wird voraussichtlich im Jahr 2024 anlaufen und Intel freut sich darauf, dass Qualcomm* die Intel 20A Prozesstechnologie einsetzen wird.
  • 2025 und darüber hinaus: Neben Intel 20A ist Intel 18A bereits in der Entwicklung für Anfang 2025, inklusive Verfeinerungen von RibbonFET, die einen weiteren Anstieg der Transistorleistung bringen werden. Intel arbeitet auch an der Definition, dem Bau und dem Einsatz der kommenden High NA EUV-Generation und erwartet, das erste Produktionstool der Branche zu erhalten. Das Unternehmen arbeitet eng mit ASML* zusammen, um den Erfolg dieses Industriedurchbruchs über die aktuelle Generation von EUV hinaus sicherzustellen.

INTEL BESCHLEUNIGT PROZESS- UND PACKAGING-INNOVATIONEN

“Intel kann auf eine lange Geschichte von grundlegenden Prozessinnovationen zurückblicken, die die Branche entscheidend vorangebracht haben”, sagte Dr. Ann Kelleher, Senior Vice President and General Manager of Technology Development. “Wir haben den Übergang zu gestrecktem Silizium bei 90nm, zu High-K Metal Gates bei 45nm und zu FinFET bei 22nm angeführt. Mit Hilfe der beiden wegweisenden Innovationen RibbonFET und PowerVia wird Intel 20A ein weiterer Wendepunkt in der Prozesstechnologie sein.”

Mit Intels neuer IDM 2.0 Strategie wird das Packaging noch wichtiger, um die Vorteile des Mooreschen Gesetzes zu verwirklichen. Intel kündigte an, dass AWS* der erste Kunde sein wird, der IFS-Packaging-Lösungen einsetzt. Darüber hinaus gab das Unternehmen folgende Einblicke in dessen branchenführende Advanced-Packaging-Roadmap:
  • EMIB ist als erste 2,5D-Embedded-Bridge-Lösung weiterhin führend in der Branche und wird seit 2017 in Produkten eingesetzt. Sapphire Rapids wird das erste Intel® Xeon® Rechenzentrumsprodukt sein, das in Serie mit EMIB (Embedded Multi Die Interconnect Bridge) ausgeliefert wird. Es wird darüber hinaus das industrieweit erste Produkt mit doppelter Rectile-Größe sein, das nahezu die gleiche Leistung wie ein monolithisches Design bietet. Nach Sapphire Rapids wird die nächste Generation von EMIB von einem 55 Mikrometer Bump-Pitch auf 45 Mikrometer wechseln.
  • Foveros nutzt die Möglichkeiten des Wafer Level Packaging, um eine neuartige 3D-Stacking-Lösung zu bieten. Meteor Lake wird die zweite Generation der Implementierung von Foveros in einem Endkundenprodukt sein und sich durch einen Bump-Pitch von 36 Mikrometern, Tiles über mehrere Technologie-Nodes hinweg und eine thermische Design-Leistung im Bereich von 5 bis 125 W auszeichnen.
  • Foveros Omni leitet die nächste Generation der Foveros-Technologie ein, indem es uneingeschränkte Flexibilität mit leistungsfähiger 3D-Stacking-Technologie für Die-to-Die-Interconnect und modulare Designs bietet. Foveros Omni ermöglicht Die-Disaggregation sowie das Mischen mehrerer Top-Die-Tiles mit mehreren Base-Tiles über gemischte Fab-Nodes hinweg. Die Technologie wird voraussichtlich 2023 für die Serienproduktion bereit sein.
Foveros Direct macht die direkte Verbindung von Kupfer zu Kupfer für niederohmige Interconnects möglich und verwischt die Grenze zwischen dem Ende des Wafers und dem Beginn des Packages. Foveros Direct ermöglicht Bump-Pitches von unter 10 Mikrometern, was die Verbindungsdichte für 3D-Stacking um eine Größenordnung erhöht. Außerdem eröffnet es neue Konzepte für die funktionale Partitionierung von Dies, die bisher nicht realisierbar waren. Foveros Direct ist komplementär zu Foveros Omni und wird voraussichtlich ebenfalls im Jahr 2023 bereit sein.

Die beschriebenen Neuigkeiten wurden größtenteils an Intels Standorten in Oregon und Arizona entwickelt. Sie untermauern damit die Rolle des Unternehmens als einziger führender Akteur mit Forschung und Entwicklung sowie Fertigung in den USA. Außerdem beruhen die Neuerungen auf einer engen Zusammenarbeit mit einem Netzwerk von Partnern in den USA und in Europa – der Schlüssel, um wegweisende Innovationen aus dem Entwicklungslabor in die Serienfertigung zu bringen. Darüber hinaus engagiert sich Intel für die Zusammenarbeit mit Regierungen, um Lieferketten zu stärken und die wirtschaftliche und nationale Sicherheit zu fördern.

www.intel.com

  Fordern Sie weitere Informationen an…

LinkedIn
Pinterest

Nehmen Sie an unseren 155000 IMP Followern teil